CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ram bit

เราจะใช้งาน ram bit ที่อยู่ใน fpga ได้ยังไงครับ
เคยมีเขียนไว้ในบทความของเว็บมั๊ยครับ

จากคุณ : max ตั้งกระทู้นี้เมื่อ 23:12 [19/03/2007]

ความคิดเห็นที่1

ใช้คำสั่ง lpm_ram_dq นะครับ ตัวอย่างโค้ด VHDL เป็นดังนี้ครับ เวลาที่สังเคราะห์วงจรออกมาแล้ว พื้นที่ของ RAM จะถูกใช้ในส่วนที่เป็น EAB แทนส่วนของ Logic cell ครับ (ในกรณีที่ชิปตัวที่เราใช้มี EAB อยู่ในโครงสร้าง)

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

PACKAGE ram_constants IS
constant DATA_WIDTH : INTEGER := 8;
constant ADDR_WIDTH : INTEGER := 8;
END ram_constants;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
LIBRARY lpm;
USE lpm.lpm_components.ALL;
LIBRARY work;
USE work.ram_constants.ALL;

ENTITY ram256x8 IS
PORT(
data: IN STD_LOGIC_VECTOR (DATA_WIDTH-1 DOWNTO 0);
address: IN STD_LOGIC_VECTOR (ADDR_WIDTH-1 DOWNTO 0);
we, inclock, outclock: IN STD_LOGIC;

q: OUT STD_LOGIC_VECTOR (DATA_WIDTH - 1 DOWNTO 0));
END ram256x8;

ARCHITECTURE example OF ram256x8 IS

BEGIN
inst_1: lpm_ram_dq
GENERIC MAP (lpm_widthad => ADDR_WIDTH,
lpm_width => DATA_WIDTH)
PORT MAP (data => data, address => address, we => we,
inclock => inclock, outclock => outclock, q => q);
END example;

จากคุณ : support@astronlogic.com 12:17 [20/03/2007]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป