CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

มัน Errors ช่วยดูหน่อยคับ ตรงไปผิด

Library ieee;
Use ieee.Std_Logic_1164.All;


Entity Comparator is
Port( a : in Std_Logic;
b : in Std_Logic;
aequab : out Std_Logic
);
end Comparator;


Architecture RTL of Comparator is
begin
process
begin
if a = b then
aequab <= '1';
els
aequnb <= '0';
end if;
end process;
end RTL;

จากคุณ : มือใหม่หัดเรียน ตั้งกระทู้นี้เมื่อ 19:24 [19/03/2007]

ความคิดเห็นที่1

ชื่อไฟล์ต้องเป็นชื่อเดียวกับ Entity นะครับ ดังนั้นตามโค้ดนี้ต้องตั้งชื่อไฟล์เป็น Comparator.vhd นะครับ

จากคุณ : support@astronlogic.com 01:00 [20/03/2007]

ความคิดเห็นที่2

ขอบคุณคับ

จากคุณ : มือใหม่หัดเรียน 23:34 [23/04/2007]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป