CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

สงสัยเรื่องการเปรียบเทียบ

architecture rtl of debounce is
signal shift_sw : std_logic_vector(3 downto 0);
begin
process(Clk_100Hz)
begin
if (Clk_100Hz'Event) and (Clk_100Hz = '1') then
shift_sw(2 downto 0) <= shift_sw(3 downto 1);
shift_sw(3) <= not sw;
if shift_sw(3 downto 0) = "000" then
sw_debounce <= '0';
else
sw_debounce <= '1';
end if;
end if;
end process;
end rtl;

จากโค้ดเป็นการแก้ Debound ในการกดสวิทช์
ผมสงสัยบรรทัดนี้ครับ
if shift_sw(3 downto 0) = "000" then
สงสัยว่า shift_sw มี 4 บิต แต่ทำไมเวลาเปรียบเทียบใช้แค่สามบิต
และสามบิตที่ว่านี้คือบิตไหนครับ 3,2,1 หรือ 0,1,2

จากคุณ : sirisak2029 ตั้งกระทู้นี้เมื่อ 07:05 [05/03/2007]

ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป