CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยดูโปรแกรมให้หน่อย

IBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY multiplier IS
PORT ( a,b : IN std_logic_vector(3 DOWNTO 0);
q : OUT std_logic_vector(3 DOWNTO 0));
END multiplier;

ARCHITECTURE rtl OF multiplier IS
BEGIN
q <= a * b ;
END rtl;


เกิด error ว่า
TDF syntax error : Expected ASSERT,CONSTANT,DEFINE,...orTITTLE but found a symbolic name "LIBRARY"

แก้ปัญหานี้ยังไรครับ

จากคุณ : เสก ตั้งกระทู้นี้เมื่อ 07:29 [06/08/2004]

ความคิดเห็นที่1

IBRARY ieee;

เบื้องต้น แก้

LIBRARY ieee;

จากคุณ : eaw 19:22 [07/08/2004]

ความคิดเห็นที่2

ลองดูว่าชื่อของ File ที่บันทึกไว้ เป็นนามสกุล .VHD หรือเปล่า

เข้าใจว่าตอนนี้ File ที่คุณบันทึกไว้เป็น File นามสกุล .TDF ซึ่งไม่ใช้ File สำหรับภาษา VHDL

*.TDF เป็น File สำหรับภาษา AHDL ครับบ

และอีกอย่าง ตรง
q <= a * b ;
ไม่สามารถทำคำสั่งนี้ได้เพราะว่า a,b,q ตองเป็นข้อมูลแบบ Integer นะครับ จะใช้แบบ Std_logic_vector โดยตรงไม่ได้

จากคุณ : support@astronlogic.com 11:13 [08/08/2004]

ความคิดเห็นที่3

โปรแกรมที่ถูกต้องควรจะเป็น
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arithm.ALL;


ENTITY multiplier IS
PORT ( a,b : IN std_logic_vector(3 DOWNTO 0);
q : OUT std_logic_vector(7 DOWNTO 0));
END multiplier;

ARCHITECTURE rtl OF multiplier IS
BEGIN
q <= a * b ;
END rtl;





จากคุณ : wutthichai 10:43 [23/08/2004]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป