CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ช่วยดูโปรแกรมให้หน่อย

IBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY multiplier IS
PORT ( a,b : IN std_logic_vector(3 DOWNTO 0);
q : OUT std_logic_vector(3 DOWNTO 0));
END multiplier;

ARCHITECTURE rtl OF multiplier IS
BEGIN
q <= a * b ;
END rtl;


เกิด error ว่า
TDF syntax error : Expected ASSERT,CONSTANT,DEFINE,...orTITTLE but found a symbolic name "LIBRARY"

แก้ปัญหานี้ยังไรครับ

จากคุณ : เสก ตั้งกระทู้นี้เมื่อ 07:29 [06/08/2004]

ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป