CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

การต่อคลิสตอล

การต่อคลิสตอลเพื่อที่จะเอาความถึ่ของมันเพื่อที่จะใช้กับตัว CPLD ทำอย่างไรครับพอจะมีวงจรหรือเปล่าครับแล้วตัว CPLD จะต้องใช้ความถึ่ขนาดไหนถึงจะดีครับใครรู้ช่วยบ่อกหน่อยครับหาวงจรอยู่

จากคุณ : baby ตั้งกระทู้นี้เมื่อ 09:58 [06/12/2003]

ความคิดเห็นที่1

ความถี่เท่าไหร่ก็ได้ครับแล้วแต่เราต้องการ และวงจรที่เราออกแบบมาสามารถตอบสนองได้

จากคุณ : support@astronlogic.com 13:03 [20/12/2003]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป