CONTACT | TEL : 02-728-3440, EMAIL : SALES@ASTRONLOGIC.COM
ASTRON LOGIC RESEARCH AND DEVELOPMENT CO.,LTD.

» WEBBOARD

ถามเรื่อง แพคเกจ ครับ

ได้โปรดซ่วย อธิบายการนำไปใซ้งานของ

use IEEE.std_logic_arith.all ;
use IEEE.std_logic_unsigned.all ;


และคำสั่ง

generic (data_width : natural := 8 ;

data_in : in UNSIGNED(data_width - 1 downto 0) ;






จากคุณ : อ้น ตั้งกระทู้นี้เมื่อ 17:26 [14/08/2002]

ความคิดเห็นที่1

use IEEE.std_logic_arith.all ;
-- จะเป็นการเรียกใช้งาน Package Std_logic_ariyh.all ครับ ซึ่งเป็น Package ที่เก็บคำสั้งเกียวกับการคำนวณทางคณิตศาสตร์ครับ

use IEEE.std_logic_unsigned.all ;
-- เรียกใช้ Package เกียวกับการคำนวณที่ไม่คำนึงถึงเครื่องหมาย -



generic (data_width : natural := 8 ;
--กำหนดค่าคงที่ให้แก่ data_width มีค่าเท่ากับ 8

data_in : in UNSIGNED(data_width - 1 downto 0) ;
--ให้ data_in เป็นข้อมูลแบบ unsigned และมีขนาดเท่ากับที่กำหนดด้วย data_width ที่ generic

จากคุณ : support@astronlogic.com 19:56 [20/08/2002]

ความคิดเห็นที่2

ขอบคุณครับ

จากคุณ : . 13:45 [23/08/2002]
ร่วมด้วยช่วยกันตอบครับ
ชื่อ :
E-Mail :
คำตอบ :







คลิกเพื่อแทรกรูป